Displacement (vector): Difference between revisions

From formulasearchengine
Jump to navigation Jump to search
en>ZéroBot
 
en>Pt
Clarified the difference between average velocity and average speed
Line 1: Line 1:
A lagging computer is truly annoying plus will be quite a headache. Almost each person whom utilizes a computer faces this issue certain time or the additional. If your computer additionally suffers within the same issue, you'll find it difficult to continue working because normal. In such a situation, the thought, "what could I do to create my PC run faster?" is repeated plus infuriating. There's a solution, nonetheless!<br><br>If you registry gets cluttered up with a lot of junk we don't utilize, the PC can run slower. Therefore it's prudent that we frequently get your registry cleaned.<br><br>Over time a disk will equally receive fragmented. Fragmentation causes the computer to slow down because it takes windows much longer to obtain a files location. Fortunately, the PC has a built inside disk defragmenter. You are able to run this system by clicking "Start" - "All Programs" - "Accessories" - "System Tools" - "Disk Defragmenter". We will now have the choice to choose which forces or partition you need to defragment. This action will take we certain time so it is advised to do this regularly thus as to avoid further fragmentation and to accelerate the windows XP computer.<br><br>Paid registry cleaners found on the different hand, I have found, are frequently cheap. They offer regular, free changes or at least cheap changes. This follows because the software maker must guarantee their product is most effective in staying before its competitors.<br><br>In a word, to accelerate windows XP, Vista business, it's very significant to disable several startup goods and clean and optimize the registry. You can follow the procedures above to disable unwanted programs. To optimize the registry, I recommend we use a [http://bestregistrycleanerfix.com/tune-up-utilities tuneup utilities] software. Because it's surprisingly risky for you to edit the registry by yourself.<br><br>Reinstall Windows 7 - If nothing appears to function, reinstall Windows 7 with the installation disc which came with the pack. Kindly backup or restore all a information to a flash drive or another difficult drive/CD etc. before operating the reinstallation.<br><br>Google Chrome is my lifeline and to this day luckily. My all settings and research associated bookmarks were saved in Chrome and stupidly I did not synchronize them with all the Gmail to shop them online. I might not afford to install unique adaptation plus sacrifice all my function settings. There was no method to retrieve the old settings. The just choice left for me was to miraculously fix it browser in a technique that all of the data plus settings stored in it are recovered.<br><br>By changing the method we use the web you can have access more of the precious bandwidth. This may ultimately provide you a faster surfing experience. Here is a link to 3 ways to customize the PC speed online.
{{Trigonometry}}
'''CORDIC''' (for '''CO'''ordinate '''R'''otation '''DI'''gital '''C'''omputer), also known as the '''digit-by-digit method''' and '''Volder's algorithm''', is a simple and efficient [[algorithm]] to calculate [[hyperbolic function|hyperbolic]] and [[trigonometric function]]s. It is commonly used when no [[hardware multiplier]] is available (e.g., simple [[microcontroller]]s and [[FPGA]]s) as the only operations it requires are addition, subtraction, [[bitshift]] and [[lookup table|table lookup]].
 
== Origins ==
The modern CORDIC algorithm was first described in 1959 by [[Jack E. Volder]]. It was developed at the aeroelectronics department of [[Convair]] to replace the [[analog electronics|analog]] [[resolver (electrical)|resolver]] in the [[B-58 Hustler|B-58]] bomber's [[navigation]] computer.<ref name="volder00">[http://dx.doi.org/10.1023/A:1008110704586 J. E. Volder, "The Birth of CORDIC", J. VLSI Signal Processing '''25''', 101 (2000).]</ref>
 
Although CORDIC is similar to mathematical techniques published by [[Henry Briggs (mathematician)|Henry Briggs]] as early as 1624, it is optimized for low complexity finite state CPUs.
 
[[John Stephen Walther]] at [[Hewlett-Packard]] further generalized the algorithm, allowing it to calculate [[hyperbolic function|hyperbolic]] and [[exponential function]]s, [[logarithm]]s, [[multiplication]]s, [[Division (mathematics)|division]]s, and [[square root]]s.<ref>[http://dx.doi.org/10.1023/A:1008162721424 J. S. Walther, "The Story of Unified CORDIC", J. VLSI Signal Processing '''25''', 107 (2000).]</ref>
 
Originally, CORDIC was implemented using the [[binary numeral system]]. In the 1970s, [[decimal]] CORDIC became widely used in pocket [[calculator]]s, most of which operate in [[Binary-coded decimal|binary-coded-decimal]] (BCD) rather than binary.
 
CORDIC is particularly well-suited for handheld [[calculator]]s, an application for which cost is much more important than speed (e.g., chip gate count has to be minimized).  Also the CORDIC [[subroutines]] for trigonometric and hyperbolic functions can share most of their code.
 
== Applications ==
CORDIC uses simple shift-add operations for several computing tasks such as the calculation of trigonometric, hyperbolic and logarithmic functions, real and complex multiplications, division, square-root calculation, solution of linear systems, eigenvalue estimation, singular value decomposition, QR factorization and many others. As a consequence, CORDIC has been utilized for applications in diverse areas such as signal and image processing, communication systems, robotics and 3-D graphics apart from general scientific and technical computation.<ref>P. K. Meher, J. Valls, T-B Juang, K. Sridharan, and K. Maharatna, ‘50 Years of CORDIC: Algorithms, Architectures and Applications,’ IEEE Transactions on Circuits & Systems-I: RegularPapers, vol.56, no.9, pp.1893- 1907, September 2009</ref><ref>P. K. Meher and S. Y. Park, ‘CORDIC Designs for Fixed Angle of Rotation,’ IEEE Transactions on
VLSI Systems, vol.21, no.2, pp.217-228, February 2013.</ref>
 
=== Hardware ===
CORDIC is generally faster than other approaches when a hardware multiplier is not available (''e.g.'', a microcontroller), or when the number of gates required to implement the functions it supports should be minimized (''e.g.'', in an [[FPGA]]).
 
On the other hand, when a hardware multiplier is available (''e.g.'', in a DSP microprocessor), table-lookup methods and [[power series]] are generally faster than CORDIC. In recent years, the CORDIC algorithm has been used extensively for various biomedical applications, especially in  [[FPGA]] implementations.
 
=== Software ===
Many older systems with integer-only CPUs have implemented CORDIC to varying extents as part of their IEEE Floating Point libraries. As most modern general-purpose CPUs have floating-point registers with common operations such as add, subtract, multiply, divide, sin, cos, square root, log10, natural log, the need to implement CORDIC in them with software is nearly non-existent. Only microcontroller or special safety and time-constrained software applications would need to consider using CORDIC.
 
== Mode of operation: rotation mode ==
 
CORDIC can be used to calculate a number of different functions. This explanation shows how to use CORDIC in ''rotation mode'' to calculate the sine and cosine of an angle, and assumes the desired angle is given in radians and represented in a [[Fixed-point arithmetic|fixed point]] format. To determine the sine or cosine for an angle <math> \beta </math>, the ''y'' or ''x'' coordinate of a point on the [[unit circle]] corresponding to the desired angle must be found. Using CORDIC, we would start with the vector <math> v_0 </math>:
 
: <math> v_0 = \begin{bmatrix} 1 \\ 0 \end{bmatrix} </math>
 
[[Image:CORDIC-illustration.png|thumb|300px|An illustration of the CORDIC algorithm in progress.]]
In the first iteration, this vector is rotated 45° counterclockwise to get the vector <math> v_1 </math>. Successive iterations rotate the vector in one or the other direction by size-decreasing steps, until the desired angle has been achieved. Step ''i'' size is arctan(1/(2<sup>''i''&minus;1</sup>)) for ''i''&nbsp;=&nbsp;1,&nbsp;2,&nbsp;3,&nbsp;….
 
More formally, every iteration calculates a rotation, which is performed by multiplying the vector <math>v_{i-1}</math> with the [[rotation matrix]] <math>R_{i}</math>:
 
: <math> v_{i} = R_{i}v_{i-1}\ </math>
 
The rotation matrix is given by:
 
: <math> R_{i} = \begin{bmatrix} \cos \gamma_{i} & -\sin \gamma_{i} \\ \sin \gamma_{i} & \cos \gamma _{i}\end{bmatrix} </math>
 
Using the following two [[List of trigonometric identities#Trigonometric conversions|trigonometric identities]]:
 
: <math>\begin{align} \cos \alpha & = & {1 \over \sqrt{1 + \tan^2 \alpha}} \\ \sin \alpha & = & {{\tan \alpha} \over \sqrt{1 + \tan^2 \alpha}} \end{align} </math>
 
the rotation matrix becomes:
 
: <math> R_i = {1 \over \sqrt{1 + \tan^2 \gamma_i}} \begin{bmatrix} 1 & -\tan \gamma_i \\ \tan \gamma_i & 1 \end{bmatrix} </math>
 
The expression for the rotated vector <math>v_i = R_i v_{i-1}</math> then becomes:
 
: <math> v_i = {1 \over \sqrt{1 + \tan^2 \gamma_i}} \begin{bmatrix} 1 & -\tan \gamma_i \\ \tan \gamma_i & 1 \end{bmatrix} \begin{bmatrix} x_{i-1} \\ y_{i-1} \end{bmatrix} </math>
 
where <math>x_{i-1}</math> and <math>y_{i-1}</math> are the components of <math>v_{i-1}</math>. Restricting the angles <math>\gamma_{i}</math> so that <math> \tan \gamma_{i} </math> takes on the values <math> \pm 2^{-i} </math>, the multiplication with the tangent can be replaced by a division by a power of two, which is efficiently done in digital computer hardware using a [[bit shift]]. The expression then becomes:
 
: <math> v_i = K_i \begin{bmatrix} 1 & -\sigma_i 2^{-i} \\ \sigma_i 2^{-i} & 1 \end{bmatrix} \begin{bmatrix} x_{i-1} \\ y_{i-1} \end{bmatrix} </math>
 
where
 
: <math> K_i = {1 \over \sqrt{1 + 2^{-2i}}} </math>
 
and <math>\sigma_i</math> can have the values of &minus;1 or 1, and is used to determine the direction of the rotation; if the angle <math>\beta_i</math> is positive then <math>\sigma_i</math> is +1, otherwise it is&nbsp;&minus;1.
 
<math> K_i </math> can be ignored in the iterative process and then applied afterward with a scaling factor:
 
: <math> K(n) = \prod_{i=0}^{n-1} K_i  = \prod_{i=0}^{n-1} 1/\sqrt{1 + 2^{-2i}} </math>
 
which is calculated in advance and stored in a table, or as a single constant if the number of iterations is fixed. This correction could also be made in advance, by scaling <math> v_0 </math> and hence saving a multiplication. Additionally it can be noted that:
 
: <math> K = \lim_{n \to \infty}K(n) \approx 0.6072529350088812561694 </math><ref>[http://perso.ens-lyon.fr/jean-michel.muller/SecondEdition.html J.-M. Muller, Elementary Functions: Algorithms and Implementation, 2nd Edition (Birkhäuser, Boston, 2006), p. 134.]</ref>
 
to allow further reduction of the algorithm's complexity.
 
After a sufficient number of iterations, the vector's angle will be close to the wanted angle <math>\beta</math>. For most ordinary purposes, 40 iterations (''n''&nbsp;=&nbsp;40) is sufficient to obtain the correct result to the 10th decimal place.
 
The only task left is to determine if the rotation should be clockwise or counterclockwise at each iteration (choosing the value of <math>\sigma</math>). This is done by keeping track of how much the angle was rotated at each iteration and subtracting that from the wanted angle; then in order to get closer to the wanted angle <math>\beta</math>, if <math>\beta_{n+1}</math> is positive, the rotation is clockwise, otherwise it is negative and the rotation is counterclockwise.
 
: <math> \beta_{i} = \beta_{i-1} - \sigma_i \gamma_i. \quad \gamma_i = \arctan 2^{-i},</math>
 
The values of <math>\gamma_n</math> must also be precomputed and stored. But for small angles, <math> \arctan(\gamma_n) = \gamma_n</math> in fixed point representation, reducing table size.
 
As can be seen in the illustration above, the sine of the angle <math>\beta</math> is the ''y'' coordinate of the final vector <math>v_n</math>, while the ''x'' coordinate is the cosine value.
 
== Mode of operation: vectoring mode ==
 
The rotation-mode algorithm described above can rotate any vector (not only a unit vector aligned along the x axis) by an angle between –90° and +90°. Decisions on the direction of the rotation depend on <math>\beta_i</math> being positive or negative.
 
The vectoring-mode of operation requires a slight modification of the algorithm. It starts with a vector the x coordinate of which is positive and the y coordinate is arbitrary. Successive rotations have the goal of rotating the vector to the x axis (and therefore reducing the y coordinate to zero). At each step, the value of ''y'' determines the direction of the rotation. The final value of <math>\beta_i</math> contains the total angle of rotation. The final value of ''x'' will be the magnitude of the original vector scaled by ''K''. So, an obvious use of the vectoring mode is the transformation from rectangular to polar coordinates.
 
== Software implementation ==
 
The following is a [[MATLAB]]/[[GNU Octave]] implementation of CORDIC that does not rely on any transcendental functions except in the precomputation of tables. If the number of iterations ''n'' is predetermined, then the second table can be replaced by a single constant. The two-by-two [[matrix multiplication]] represents a pair of simple shifts and adds. With MATLAB's standard double-precision arithmetic and "format long" printout, the results increase in accuracy for ''n'' up to about 48.
 
<syntaxhighlight lang="MATLAB">
function v = cordic(beta,n)
% This function computes v = [cos(beta), sin(beta)] (beta in radians)
% using n iterations. Increasing n will increase the precision.
 
if beta < -pi/2 || beta > pi/2
    if beta < 0
        v = cordic(beta + pi, n);
    else
        v = cordic(beta - pi, n);
    end
    v = -v; % flip the sign for second or third quadrant
    return
end
 
% Initialization of tables of constants used by CORDIC
% need a table of arctangents of negative powers of two, in radians:
% angles = atan(2.^-(0:27));
angles =  [  ...
    0.78539816339745  0.46364760900081  0.24497866312686  0.12435499454676 ...
    0.06241880999596  0.03123983343027  0.01562372862048  0.00781234106010 ...
    0.00390623013197  0.00195312251648  0.00097656218956  0.00048828121119 ...
    0.00024414062015  0.00012207031189  0.00006103515617  0.00003051757812 ...
    0.00001525878906  0.00000762939453  0.00000381469727  0.00000190734863 ...
    0.00000095367432  0.00000047683716  0.00000023841858  0.00000011920929 ...
    0.00000005960464  0.00000002980232  0.00000001490116  0.00000000745058 ];
% and a table of products of reciprocal lengths of vectors [1, 2^-2j]:
Kvalues = [ ...
    0.70710678118655  0.63245553203368  0.61357199107790  0.60883391251775 ...
    0.60764825625617  0.60735177014130  0.60727764409353  0.60725911229889 ...
    0.60725447933256  0.60725332108988  0.60725303152913  0.60725295913894 ...
    0.60725294104140  0.60725293651701  0.60725293538591  0.60725293510314 ...
    0.60725293503245  0.60725293501477  0.60725293501035  0.60725293500925 ...
    0.60725293500897  0.60725293500890  0.60725293500889  0.60725293500888 ];
Kn = Kvalues(min(n, length(Kvalues)));
 
% Initialize loop variables:
v = [1;0]; % start with 2-vector cosine and sine of zero
poweroftwo = 1;
angle = angles(1);
 
% Iterations
for j = 0:n-1;
    if beta < 0
        sigma = -1;
    else
        sigma = 1;
    end
    factor = sigma * poweroftwo;
    R = [1, -factor; factor, 1];
    v = R * v; % 2-by-2 matrix multiply
    beta = beta - sigma * angle; % update the remaining angle
    poweroftwo = poweroftwo / 2;
    % update the angle from table, or eventually by just dividing by two
    if j+2 > length(angles)
        angle = angle / 2;
    else
        angle = angles(j+2);
    end
end
 
% Adjust length of output vector to be [cos(beta), sin(beta)]:
v = v * Kn;
return
</syntaxhighlight>
 
==Hardware implementation==
The number of [[logic gate]]s for the implementation of a CORDIC is roughly comparable to the number required for a multiplier as both require combinations of shifts and additions. The choice for a multiplier-based or CORDIC-based implementation will depend on the context. The multiplication of two [[complex number]]s represented by their real and imaginary components (rectangular coordinates), for example, requires 4 multiplications, but could be realized by a single CORDIC operating on complex numbers represented by their polar coordinates, especially if the magnitude of the numbers is not relevant (multiplying a complex vector with a vector on the unit circle actually amounts to a rotation). CORDICs are often used in circuits for telecommunications such as [[digital down converter]]s.
 
==Related algorithms==
CORDIC is part of the class of "shift-and-add" algorithms, as are the logarithm and exponential algorithms derived from Henry Briggs' work.  Another shift-and-add algorithm which can be used for computing many elementary functions is the [[BKM algorithm]], which is a generalization of the logarithm and exponential algorithms to the complex plane.  For instance, BKM can be used to compute the sine and cosine of a real angle <math>x</math> (in radians) by computing the exponential of <math>0+ix,</math> which is <math>\cos x + i \sin x.</math>  The BKM algorithm is slightly more complex than CORDIC, but has the advantage that it does not need a scaling factor (K).
 
==History==
Volder was inspired by the following formula in the 1946 edition of the [[CRC Handbook of Chemistry and Physics]]:
:<math>\begin{align}
K_n R \sin(\theta\pm\phi) &= R \sin(\theta) \pm 2^{-n} R \cos(\theta)\\
K_n R \cos(\theta\pm\phi) &= R \cos(\theta) \mp 2^{-n} R \sin(\theta)
\end{align}</math>
with <math>K_n = \sqrt{1+2^{-2n}}, \tan(\phi) = 2^{-n}.</math> <ref name="volder00"/>
 
Some of the prominent early applications of CORDIC were in the Convair navigation computers CORDIC I to CORDIC III,<ref name="volder00"/> the Hewlett-Packard [[HP-9100]] and [[HP-35]] calculators,<ref>D. Cochran, "Algorithms and Accuracy in the HP 35", Hewlett Packard J. '''23''', 10 (1972).</ref> the [[Intel]] [[80x87]] coprocessor series until [[Intel 80486]], and [[Motorola 68881]].<ref>R. Nave, "Implementation of Transcendental Functions on a Numerics Processor", Microprocessing and Microprogramming '''11''', 221 (1983).</ref>
 
Decimal CORDIC was first suggested by Hermann Schmid and Anthony Bogacki.<ref>H. Schmid and A. Bogacki, "Use Decimal CORDIC for Generation of Many Transcendental Functions", EDN Magazine, February 20, 1973, p. 64.</ref>
 
==Notes==
<references/>
 
==References==
*[http://www.jacques-laporte.org/Volder_CORDIC.pdf Jack E. Volder, ''The CORDIC Trigonometric Computing Technique, IRE Transactions on Electronic Computers'', pp330-334, September 1959]
*Daggett, D. H., ''Decimal-Binary conversions in CORDIC'', IRE Transactions on Electronic Computers, Vol. EC-8 #5, pp335–339, IRE, September 1959
*[http://www.jacques-laporte.org/Welther-Unified%20Algorithm.pdf John S. Walther, ''A Unified Algorithm for Elementary Functions'', Proc. of Spring Joint Computer Conference, pp379–385, May 1971]
*[http://www1.i2r.a-star.edu.sg/~pkmeher/papers/CORDIC-TUT-TACS-I.pdf P. K. Meher, J. Valls, T-B Juang, K. Sridharan, and K. Maharatna, ‘50 Years of CORDIC: Algorithms, Architectures and Applications,’ IEEE Transactions on Circuits & Systems-I: Regular Papers, vol.56, no.9, pp.1893- 1907, September 2009.]
*[http://www1.i2r.a-star.edu.sg/~pkmeher/papers/FRC-TCAS-I.pdf P. K. Meher and S. Y. Park, ‘CORDIC Designs for Fixed Angle of Rotation,’ IEEE Transactions on VLSI Systems, vol.21, no.2, pp.217-228, February 2013.]
*[http://www.jacques-laporte.org/Meggitt_62.pdf J. E. Meggitt, ''Pseudo Division and Pseudo Multiplication Processes'', IBM Journal, April 1962]
*[http://baykov.de/cordic1972.htm Vladimir Baykov, ''Problems of Elementary Functions Evaluation Based on Digit by Digit (CORDIC) Technique'', PhD thesis, Leningrad State Univ. of Electrical Eng., 1972]
*Schmid, Hermann, ''Decimal computation.'' New York, Wiley, 1974
*[http://baykov.de/cordic1975.htm V.D.Baykov,V.B.Smolov, ''Hardware implementation of elementary functions in computers'', Leningrad State University, 1975, 96p.]*[http://www.umup.narod.ru/1115.zip Full Text]
*Senzig, Don, ''Calculator Algorithms'', IEEE Compcon Reader Digest, IEEE Catalog No. 75 CH 0920-9C, pp139–141, IEEE, 1975.
*V.D.Baykov,S.A.Seljutin, ''Elementary functions evaluation in microcalculators'', Moscow, Radio & svjaz,1982,64p.''
*[http://baykov.de/cordic1985.htm Vladimir D.Baykov, Vladimir B.Smolov, Special-purpose processors: iterative algorithms and structures, Moscow, Radio & svjaz, 1985, 288 pages '']
*M. E. Frerking, ''Digital Signal Processing in Communication Systems, 1994''
* Vitit Kantabutra, ''On hardware for computing [[exponential function|exponential]] and [[trigonometric function]]s'', IEEE Trans. Computers 45 (3), 328-339 (1996)
*[http://www.andraka.com/files/crdcsrvy.pdf Andraka, Ray, ''A survey of CORDIC algorithms for FPGA based computers'']
*Henry Briggs, ''Arithmetica Logarithmica.'' London, 1624, folio
*[http://cordic-bibliography.blogspot.com/2011/07/cordic-bibliography-site-revive.html ''CORDIC Bibliography Site''], Shaoyun Wang, July 2011
*[http://www.jacques-laporte.org/TheSecretOfTheAlgorithms.htm ''The secret of the algorithms''], Jacques Laporte, Paris 1981
*[http://www.jacques-laporte.org/digit_by_digit.htm ''Digit by digit methods''], Jacques Laporte, Paris 2006
*Ayan Banerjee, [http://www.sciencedirect.com/science?_ob=ArticleURL&_udi=B6V0X-4313PR1-1&_user=10&_rdoc=1&_fmt=&_orig=search&_sort=d&_docanchor=&view=c&_acct=C000050221&_version=1&_urlVersion=0&_userid=10&md5=c85888a82db1f3b515b4a8d2a529624b FPGA realization of a CORDIC based FFT processor for biomedical signal processing], Kharagpur, 2001
*[http://www.hindawi.com/journals/vlsi/2010/794891.html ''CORDIC Architectures: A Survey''], B. Lakshmi and A. S. Dhar,  Journal: VLSI Design, January 2010
*[http://cockrum.net/Cockrum_Fall_2008_Final_Paper.pdf ''Implementation of a CORDIC Algorithm in a Digital Down-Converter''], C. Cockrum, Fall 2008
 
==External links==
*[http://cordic-bibliography.blogspot.com/2011/07/cordic-bibliography-site-revive.html CORDIC Bibliography Site]
*[http://www.math.ucl.ac.be/~magnus/num1a/cordic.txt Another USENET discussion]
*[http://www.emesystems.com/BS2mathC.htm BASIC Stamp, CORDIC math implementation]
*[http://www.jacques-laporte.org/Trigonometry.htm CORDIC as implemented in the ROM of the HP-35 - Jacques Laporte (step by step analysis, simulator running the real ROM with breakpoints and trace facility)]
*[http://srohit.googlepages.com CORDIC implementation in verilog]
*[http://www.math.niu.edu/~rusin/known-math/94/cordic CORDIC information]
*[http://portal.acm.org/citation.cfm?id=626526.627179 CORDIC Vectoring with Arbitrary Target Value]
*[http://www.picbasic.co.uk/forum/showthread.php?p=70269#post70269 PicBasic Pro, Pic18 CORDIC math implementation]
*[http://code.activestate.com/recipes/576792 Python CORDIC implementation]
*[http://www.dcs.gla.ac.uk/~jhw/cordic/ Simple C code for fixed-point CORDIC]
*[http://www.andraka.com/cordic.htm The CORDIC Algorithm]
*[http://luminouslogic.com/dsp-simple-phase-estimation-approximation-cordic-matlab.htm Tutorial and MATLAB Implementation - Using CORDIC to Estimate Phase of a Complex Number]
*[http://www.eecg.toronto.edu/~bach/HOME/CORDIC/net.refs USENET discussion]
*[http://bibix.nl/index.php?menu1=arx_ip Descriptions of hardware CORDICs in Arx with testbenches in C++ and VHDL]
*[http://www.usenetmessages.com/view.php?c=computer&g=2333&id=468984&p=0 Usenet discussion about CORDIC]
 
{{DEFAULTSORT:Cordic}}
[[Category:Numerical analysis]]
[[Category:Trigonometry]]

Revision as of 00:30, 14 January 2014

Template:Trigonometry CORDIC (for COordinate Rotation DIgital Computer), also known as the digit-by-digit method and Volder's algorithm, is a simple and efficient algorithm to calculate hyperbolic and trigonometric functions. It is commonly used when no hardware multiplier is available (e.g., simple microcontrollers and FPGAs) as the only operations it requires are addition, subtraction, bitshift and table lookup.

Origins

The modern CORDIC algorithm was first described in 1959 by Jack E. Volder. It was developed at the aeroelectronics department of Convair to replace the analog resolver in the B-58 bomber's navigation computer.[1]

Although CORDIC is similar to mathematical techniques published by Henry Briggs as early as 1624, it is optimized for low complexity finite state CPUs.

John Stephen Walther at Hewlett-Packard further generalized the algorithm, allowing it to calculate hyperbolic and exponential functions, logarithms, multiplications, divisions, and square roots.[2]

Originally, CORDIC was implemented using the binary numeral system. In the 1970s, decimal CORDIC became widely used in pocket calculators, most of which operate in binary-coded-decimal (BCD) rather than binary.

CORDIC is particularly well-suited for handheld calculators, an application for which cost is much more important than speed (e.g., chip gate count has to be minimized). Also the CORDIC subroutines for trigonometric and hyperbolic functions can share most of their code.

Applications

CORDIC uses simple shift-add operations for several computing tasks such as the calculation of trigonometric, hyperbolic and logarithmic functions, real and complex multiplications, division, square-root calculation, solution of linear systems, eigenvalue estimation, singular value decomposition, QR factorization and many others. As a consequence, CORDIC has been utilized for applications in diverse areas such as signal and image processing, communication systems, robotics and 3-D graphics apart from general scientific and technical computation.[3][4]

Hardware

CORDIC is generally faster than other approaches when a hardware multiplier is not available (e.g., a microcontroller), or when the number of gates required to implement the functions it supports should be minimized (e.g., in an FPGA).

On the other hand, when a hardware multiplier is available (e.g., in a DSP microprocessor), table-lookup methods and power series are generally faster than CORDIC. In recent years, the CORDIC algorithm has been used extensively for various biomedical applications, especially in FPGA implementations.

Software

Many older systems with integer-only CPUs have implemented CORDIC to varying extents as part of their IEEE Floating Point libraries. As most modern general-purpose CPUs have floating-point registers with common operations such as add, subtract, multiply, divide, sin, cos, square root, log10, natural log, the need to implement CORDIC in them with software is nearly non-existent. Only microcontroller or special safety and time-constrained software applications would need to consider using CORDIC.

Mode of operation: rotation mode

CORDIC can be used to calculate a number of different functions. This explanation shows how to use CORDIC in rotation mode to calculate the sine and cosine of an angle, and assumes the desired angle is given in radians and represented in a fixed point format. To determine the sine or cosine for an angle , the y or x coordinate of a point on the unit circle corresponding to the desired angle must be found. Using CORDIC, we would start with the vector :

An illustration of the CORDIC algorithm in progress.

In the first iteration, this vector is rotated 45° counterclockwise to get the vector . Successive iterations rotate the vector in one or the other direction by size-decreasing steps, until the desired angle has been achieved. Step i size is arctan(1/(2i−1)) for i = 1, 2, 3, ….

More formally, every iteration calculates a rotation, which is performed by multiplying the vector with the rotation matrix :

The rotation matrix is given by:

Using the following two trigonometric identities:

the rotation matrix becomes:

The expression for the rotated vector then becomes:

where and are the components of . Restricting the angles so that takes on the values , the multiplication with the tangent can be replaced by a division by a power of two, which is efficiently done in digital computer hardware using a bit shift. The expression then becomes:

where

and can have the values of −1 or 1, and is used to determine the direction of the rotation; if the angle is positive then is +1, otherwise it is −1.

can be ignored in the iterative process and then applied afterward with a scaling factor:

which is calculated in advance and stored in a table, or as a single constant if the number of iterations is fixed. This correction could also be made in advance, by scaling and hence saving a multiplication. Additionally it can be noted that:

[5]

to allow further reduction of the algorithm's complexity.

After a sufficient number of iterations, the vector's angle will be close to the wanted angle . For most ordinary purposes, 40 iterations (n = 40) is sufficient to obtain the correct result to the 10th decimal place.

The only task left is to determine if the rotation should be clockwise or counterclockwise at each iteration (choosing the value of ). This is done by keeping track of how much the angle was rotated at each iteration and subtracting that from the wanted angle; then in order to get closer to the wanted angle , if is positive, the rotation is clockwise, otherwise it is negative and the rotation is counterclockwise.

The values of must also be precomputed and stored. But for small angles, in fixed point representation, reducing table size.

As can be seen in the illustration above, the sine of the angle is the y coordinate of the final vector , while the x coordinate is the cosine value.

Mode of operation: vectoring mode

The rotation-mode algorithm described above can rotate any vector (not only a unit vector aligned along the x axis) by an angle between –90° and +90°. Decisions on the direction of the rotation depend on being positive or negative.

The vectoring-mode of operation requires a slight modification of the algorithm. It starts with a vector the x coordinate of which is positive and the y coordinate is arbitrary. Successive rotations have the goal of rotating the vector to the x axis (and therefore reducing the y coordinate to zero). At each step, the value of y determines the direction of the rotation. The final value of contains the total angle of rotation. The final value of x will be the magnitude of the original vector scaled by K. So, an obvious use of the vectoring mode is the transformation from rectangular to polar coordinates.

Software implementation

The following is a MATLAB/GNU Octave implementation of CORDIC that does not rely on any transcendental functions except in the precomputation of tables. If the number of iterations n is predetermined, then the second table can be replaced by a single constant. The two-by-two matrix multiplication represents a pair of simple shifts and adds. With MATLAB's standard double-precision arithmetic and "format long" printout, the results increase in accuracy for n up to about 48.

function v = cordic(beta,n)
% This function computes v = [cos(beta), sin(beta)] (beta in radians)
% using n iterations. Increasing n will increase the precision.

if beta < -pi/2 || beta > pi/2
    if beta < 0
        v = cordic(beta + pi, n);
    else
        v = cordic(beta - pi, n);
    end
    v = -v; % flip the sign for second or third quadrant
    return
end

% Initialization of tables of constants used by CORDIC
% need a table of arctangents of negative powers of two, in radians:
% angles = atan(2.^-(0:27));
angles =  [  ...
    0.78539816339745   0.46364760900081   0.24497866312686   0.12435499454676 ...
    0.06241880999596   0.03123983343027   0.01562372862048   0.00781234106010 ...
    0.00390623013197   0.00195312251648   0.00097656218956   0.00048828121119 ...
    0.00024414062015   0.00012207031189   0.00006103515617   0.00003051757812 ...
    0.00001525878906   0.00000762939453   0.00000381469727   0.00000190734863 ...
    0.00000095367432   0.00000047683716   0.00000023841858   0.00000011920929 ...
    0.00000005960464   0.00000002980232   0.00000001490116   0.00000000745058 ];
% and a table of products of reciprocal lengths of vectors [1, 2^-2j]:
Kvalues = [ ...
    0.70710678118655   0.63245553203368   0.61357199107790   0.60883391251775 ...
    0.60764825625617   0.60735177014130   0.60727764409353   0.60725911229889 ...
    0.60725447933256   0.60725332108988   0.60725303152913   0.60725295913894 ...
    0.60725294104140   0.60725293651701   0.60725293538591   0.60725293510314 ...
    0.60725293503245   0.60725293501477   0.60725293501035   0.60725293500925 ...
    0.60725293500897   0.60725293500890   0.60725293500889   0.60725293500888 ];
Kn = Kvalues(min(n, length(Kvalues)));

% Initialize loop variables:
v = [1;0]; % start with 2-vector cosine and sine of zero
poweroftwo = 1;
angle = angles(1);

% Iterations
for j = 0:n-1;
    if beta < 0
        sigma = -1;
    else
        sigma = 1;
    end
    factor = sigma * poweroftwo;
    R = [1, -factor; factor, 1];
    v = R * v; % 2-by-2 matrix multiply
    beta = beta - sigma * angle; % update the remaining angle
    poweroftwo = poweroftwo / 2;
    % update the angle from table, or eventually by just dividing by two
    if j+2 > length(angles)
        angle = angle / 2;
    else
        angle = angles(j+2);
    end
end

% Adjust length of output vector to be [cos(beta), sin(beta)]:
v = v * Kn;
return

Hardware implementation

The number of logic gates for the implementation of a CORDIC is roughly comparable to the number required for a multiplier as both require combinations of shifts and additions. The choice for a multiplier-based or CORDIC-based implementation will depend on the context. The multiplication of two complex numbers represented by their real and imaginary components (rectangular coordinates), for example, requires 4 multiplications, but could be realized by a single CORDIC operating on complex numbers represented by their polar coordinates, especially if the magnitude of the numbers is not relevant (multiplying a complex vector with a vector on the unit circle actually amounts to a rotation). CORDICs are often used in circuits for telecommunications such as digital down converters.

Related algorithms

CORDIC is part of the class of "shift-and-add" algorithms, as are the logarithm and exponential algorithms derived from Henry Briggs' work. Another shift-and-add algorithm which can be used for computing many elementary functions is the BKM algorithm, which is a generalization of the logarithm and exponential algorithms to the complex plane. For instance, BKM can be used to compute the sine and cosine of a real angle (in radians) by computing the exponential of which is The BKM algorithm is slightly more complex than CORDIC, but has the advantage that it does not need a scaling factor (K).

History

Volder was inspired by the following formula in the 1946 edition of the CRC Handbook of Chemistry and Physics:

with [1]

Some of the prominent early applications of CORDIC were in the Convair navigation computers CORDIC I to CORDIC III,[1] the Hewlett-Packard HP-9100 and HP-35 calculators,[6] the Intel 80x87 coprocessor series until Intel 80486, and Motorola 68881.[7]

Decimal CORDIC was first suggested by Hermann Schmid and Anthony Bogacki.[8]

Notes

  1. 1.0 1.1 1.2 J. E. Volder, "The Birth of CORDIC", J. VLSI Signal Processing 25, 101 (2000).
  2. J. S. Walther, "The Story of Unified CORDIC", J. VLSI Signal Processing 25, 107 (2000).
  3. P. K. Meher, J. Valls, T-B Juang, K. Sridharan, and K. Maharatna, ‘50 Years of CORDIC: Algorithms, Architectures and Applications,’ IEEE Transactions on Circuits & Systems-I: RegularPapers, vol.56, no.9, pp.1893- 1907, September 2009
  4. P. K. Meher and S. Y. Park, ‘CORDIC Designs for Fixed Angle of Rotation,’ IEEE Transactions on VLSI Systems, vol.21, no.2, pp.217-228, February 2013.
  5. J.-M. Muller, Elementary Functions: Algorithms and Implementation, 2nd Edition (Birkhäuser, Boston, 2006), p. 134.
  6. D. Cochran, "Algorithms and Accuracy in the HP 35", Hewlett Packard J. 23, 10 (1972).
  7. R. Nave, "Implementation of Transcendental Functions on a Numerics Processor", Microprocessing and Microprogramming 11, 221 (1983).
  8. H. Schmid and A. Bogacki, "Use Decimal CORDIC for Generation of Many Transcendental Functions", EDN Magazine, February 20, 1973, p. 64.

References

External links